Thinning Or Removal Of Substrate Patents (Class 438/977)
  • Patent number: 7709353
    Abstract: A method for producing a semiconductor device includes the steps of forming a predetermined device in a device layer grown on a semiconductor substrate with a sacrificial layer provided therebetween; and removing the sacrificial layer by etching to separate the semiconductor substrate from the device layer while a supporting substrate is bonded to the side of the device layer, wherein in the step of removing the sacrificial layer, a groove extending from the device layer to the sacrificial layer is formed before the sacrificial layer is removed, and the etching solution is allowed to penetrate to the sacrificial layer through the groove.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: May 4, 2010
    Assignee: Sony Corporation
    Inventors: Hideki Ono, Satoshi Taniguchi
  • Patent number: 7696058
    Abstract: An object is to reduce occurrence of defective bonding between a base substrate and a semiconductor substrate even when a silicon nitride film or the like is used as a bonding layer. Another object is to provide a method for manufacturing an SOI substrate by which an increase in the number of steps can be suppressed.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: April 13, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tetsuya Kakehata, Kazutaka Kuriki
  • Patent number: 7635622
    Abstract: A manufacturing method of a semiconductor device includes: forming multiple trenches on a semiconductor substrate; forming a second conductive type semiconductor film in each trench to provide a first column with the substrate between two trenches and a second column with the second conductive type semiconductor film in the trench, the first and second columns alternately repeated along with a predetermined direction; thinning a second side of the substrate; and increasing an impurity concentration in a thinned second side so that a first conductive type layer is provided. The impurity concentration of the first conductive type layer is higher than the first column. The first column provides a drift layer so that a vertical type first-conductive-type channel transistor is formed.
    Type: Grant
    Filed: August 9, 2007
    Date of Patent: December 22, 2009
    Assignee: DENSO CORPORATION
    Inventors: Hitoshi Yamaguchi, Takeshi Miyajima
  • Patent number: 7629209
    Abstract: A method for fabricating polysilicon film is disclosed. First, a first substrate is provided, wherein a plurality of sunken patterns has been formed on the front surface of the first substrate. Then, a second substrate is provided and an amorphous polysilicon film is formed on the second substrate. Next, the amorphous polysilicon film formed on the second substrate is in contact with the front surface of the first substrate. The amorphous polysilicon film is transferred into a polysilicon film by performing an annealing process. Then, the first substrate and the second substrate are separated from each other. This method reduces the cost and the time for fabricating polysilicon film.
    Type: Grant
    Filed: October 17, 2005
    Date of Patent: December 8, 2009
    Assignee: Chunghwa Picture Tubes, Ltd.
    Inventors: YewChung Sermon Wu, Chih-Yuan Hou, Guo-Ren Hu, Po-Chih Liu
  • Patent number: 7625810
    Abstract: A method of processing a wafer having a device area where a plurality of devices are formed on the front surface and an extra area surrounding the device area and comprising electrodes which are formed in the device area, comprising: a reinforcement forming step for removing an area, which corresponds to the device area, in the back surface of the wafer to reduce the thickness of the device area to a predetermined value and keeping an area, which corresponds to the extra area, in the back surface of the wafer to form an annular reinforcement; and a via-hole forming step for forming a via-hole in the electrodes of the wafer which has been subjected to the reinforcement forming step.
    Type: Grant
    Filed: June 21, 2006
    Date of Patent: December 1, 2009
    Assignee: Disco Corporation
    Inventors: Keiichi Kajiyama, Koichi Kondo, Yasuomi Kaneuchi
  • Patent number: 7625808
    Abstract: A thickness of silicon oxide film of a wafer for active layer is controlled to be thinner than that of buried silicon oxide film. Consequently, uniformity in film thickness of the active layer of a bonded wafer is improved even if a variation in the in-plane thickness of the silicon oxide film is large at a time of ion implantation. Furthermore, since the silicon oxide film is rather thinner and thereby the ion implantation depth is relatively deeper, damages to the active layer and the buried silicon oxide film caused by the ion implantation can be reduced.
    Type: Grant
    Filed: September 1, 2004
    Date of Patent: December 1, 2009
    Assignee: Sumco Corporation
    Inventors: Akihiko Endo, Hideki Nishihata
  • Patent number: 7622361
    Abstract: It is an object of the invention to provide a peeling method which does not damage a peeling layer, and to perform peeling not only a peeling layer having a small-size area but also an entire peeling layer having a large-size area with a preferable yield. In the invention, after pasting a fixing substrate, a part of a glass substrate is removed by scribing or performing laser irradiation on the glass substrate which leads to providing a trigger. Then, peeling is performed with a preferable yield by performing peeling from the removed part. In addition, a crack is prevented by covering the entire face except for a connection portion of a terminal electrode (including a periphery region of the terminal electrode) with a resin.
    Type: Grant
    Filed: July 9, 2007
    Date of Patent: November 24, 2009
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yuugo Goto, Yumiko Fukumoto, Toru Takayama, Junya Maruyama, Takuya Tsurume
  • Patent number: 7622791
    Abstract: A III-V group nitride system semiconductor substrate is of a III-V group nitride system single crystal. The III-V group nitride system semiconductor substrate has a flat surface, and a vector made by projecting on a surface of the substrate a normal vector of a low index surface closest to the substrate surface at an arbitrary point in a plane of the substrate is converged on a specific point or a specific region inside or outside the plane of the substrate.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: November 24, 2009
    Assignee: Hitachi Cable, Ltd.
    Inventor: Masatomo Shibata
  • Patent number: 7615409
    Abstract: An electronic component is disclosed including a plurality of stacked semiconductor packages. A first such embodiment includes an internal connector for electrically coupling the stacked semiconductor packages. A second such embodiment includes an external connector for electrically coupling the stacked semiconductor packages.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: November 10, 2009
    Assignee: SanDisk Corporation
    Inventors: Cheemen Yu, Chih-Chin Liao, Hem Takiar
  • Patent number: 7601615
    Abstract: A semiconductor wafer back-surface grinding method, for grinding a back surface of a semiconductor wafer, an opposed front surface of the semiconductor wafer being adhered to a support base material and being provided with a circuit pattern, including: measuring an initial thickness of the semiconductor wafer before grinding, in a condition where the support base material is adhered to the front surface of the semiconductor wafer; obtaining a cutting depth by subtracting a set final thickness measured after grinding from the initial thickness; and grinding the back surface of the semiconductor wafer, based on the cutting depth.
    Type: Grant
    Filed: October 30, 2006
    Date of Patent: October 13, 2009
    Assignee: Tokyo Seimitsu Co., Ltd.
    Inventors: Tomoo Hayashi, Motoi Nezu
  • Patent number: 7601217
    Abstract: A method of forming an epitaxially grown layer, preferably by providing a region of weakness in a support substrate and transferring a nucleation portion to the support substrate by bonding. A remainder portion of the support substrate is detached at the region of weakness and an epitaxial layer is grown on the nucleation portion. The remainder portion is separated or otherwise removed from the support portion.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: October 13, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Bruce Faure, Fabrice Letertre
  • Patent number: 7569835
    Abstract: The present invention relates generally to grids for gating a stream of charged particles and methods for manufacturing the same. In one embodiment, the present invention relates to a Bradbury-Nielson gate having transmission line grid elements. In one embodiment is a feed structure for a gating grid where a drive source is coupled to a feeding transmission line with the same geometry as the chopper and continues with the same geometry to a termination transmission line. Also included is a method for fabricating a gate for charged particles which includes micromachining at least two gate elements from at least one wafer, wherein each gate element includes at least one grid element; metalizing the grid elements; and assembling the gate elements such that the grid elements of the gate elements are interleaved, thereby forming a Bradbury Nielson gate.
    Type: Grant
    Filed: March 6, 2007
    Date of Patent: August 4, 2009
    Assignees: Stillwater Scientific Instruments, University of Maine
    Inventors: Brian G. Frederick, Lawrence J. LeGore, Rosemary Smith, Scott Collins, Robert H. Jackson, III
  • Patent number: 7563629
    Abstract: A method of fabricating semiconductor devices, such as GaN LEDs, on insulating substrates, such as sapphire. Semiconductor layers are produced on the insulating substrate using normal semiconductor processing techniques. Trenches that define the boundaries of the individual devices are then formed through the semiconductor layers and into the insulating substrate, beneficially by using inductive coupled plasma reactive ion etching. The trenches are then filled with an easily removed layer. A metal support structure is then formed on the semiconductor layers (such as by plating or by deposition) and the insulating substrate is removed. Electrical contacts, a passivation layer, and metallic pads are then added to the individual devices, and the individual devices are then diced out.
    Type: Grant
    Filed: September 23, 2005
    Date of Patent: July 21, 2009
    Assignee: LG Electronics Inc.
    Inventors: Jong-Lam Lee, In-Kwon Jeong, Myung Cheol Yoo
  • Patent number: 7560310
    Abstract: A method of fabricating a semiconductor device includes etching a substrate formed on a backside of a semiconductor wafer to form a recess in the substrate, and forming a sputter film in the recess, the sputter film including a first material having a coefficient of thermal expansion (CTE) which is at least substantially equal to a CTE of the substrate, and a second material having a thermal conductivity which is greater than a thermal conductivity of the substrate.
    Type: Grant
    Filed: December 27, 2007
    Date of Patent: July 14, 2009
    Assignee: International Business Machines Corporation
    Inventors: Louis L. C. Hsu, Rajiv V. Joshi, Jack Allan Mandelman
  • Patent number: 7544964
    Abstract: A method for producing a thin layer device such as a superconductive device excellent in mechanical strength and useful as a submillimeter band receiver is provided. The thin layer device is produced by forming a multilayer structure substance comprising an NbN/MgO/NbN-SIS junction on an MgO temporary substrate, then forming SiO2, as a substrate, on said multilayer structure substance, and subsequently removing the MgO temporary substrate by etching. A superconductive device (a thin layer device) produced by a method of the present invention has excellent performance and high mechanical strength, and therefore introduction to a waveguide for a submillimeter band is also easy.
    Type: Grant
    Filed: September 25, 2006
    Date of Patent: June 9, 2009
    Assignee: National Institute of Information and Communications Technology, Incorporated Administrative Agency
    Inventor: Akira Kawakami
  • Patent number: 7538010
    Abstract: A method of forming an epitaxially grown layer by providing a support substrate that includes a region of weakness therein to define a support portion and a remainder portion on opposite sides of the region of weakness. The region of weakness comprises atomic species implanted in the support substrate to facilitate detachment of the support portion from the remainder portion. The method also includes epitaxially growing an epitaxially grown layer in association with the support portion.
    Type: Grant
    Filed: November 22, 2005
    Date of Patent: May 26, 2009
    Assignee: S.O.I.Tec Silicon on Insulator Technologies
    Inventors: Bruce Faure, Lea Di Cioccio
  • Patent number: 7521360
    Abstract: A through hole (114) is formed in a wafer (104) comprising a semiconductor substrate (110). A seed layer (610) is sputtered on the bottom surface of the wafer. The seed is not deposited over the through hole's sidewalls adjacent the top surface of the wafer. A conductor (810) is electroplated into the through hole. In another embodiment, a seed is deposited into an opening in a wafer through a dry film resist mask (1110). The dry film resist overhangs the edges of the opening, so the seed is not deposited over the opening's sidewalls adjacent the top surface of the wafer. In another embodiment, a dielectric (120) is formed in an opening in a semiconductor substrate (110) by a non-conformal physical vapor deposition (PVD) process that deposits the dielectric on the sidewalls but not the bottom of the opening. A seed (610) is formed on the bottom by electroless plating. A conductor (810) is electroplated on the seed.
    Type: Grant
    Filed: October 10, 2006
    Date of Patent: April 21, 2009
    Assignee: Tru-Si Technologies, Inc.
    Inventors: Patrick A. Halahan, Sam Kao, Bosco Lan, Sergey Savastiouk, Oleg Siniaguine
  • Patent number: 7521384
    Abstract: A method and an apparatus for peeling a surface protective film attached on the surface of a semiconductor wafer are provided. A heating block is set in proximity to the whole surface of the semiconductor wafer, and the whole surface protective film is heated by the heating block. Thus, the air bubbles existing between the semiconductor wafer and the surface protective film are expanded or swelled, and the adhesion between the semiconductor wafer and the surface protective film is weakened. After that, the surface protective film is peeled from the semiconductor wafer. As a result, a peel starting point can be appropriately formed and damage to the wafer can be prevented.
    Type: Grant
    Filed: October 5, 2006
    Date of Patent: April 21, 2009
    Assignee: Tokyo Seimitsu Co., Ltd.
    Inventors: Masaki Kanazawa, Minoru Ametani, Daisuke Akita, Motoi Nezu
  • Patent number: 7510945
    Abstract: A support-side substrate having a thermal oxide film on the major surface is bonded to an active-layer-side substrate having a thermal oxide film on the major surface while making the major surfaces oppose each other. The active-layer-side substrate and part of the oxide film are selectively etched from a surface opposite to the major surface of the active-layer-side substrate to a halfway depth of the buried oxide film formed from the thermal oxide films at the bonding portion. A sidewall insulating film is formed on the etching side surface portion of the active-layer-side substrate. Then, the remaining buried oxide film except that immediately under the active-layer-side substrate is selectively etched. A single-crystal semiconductor layer is formed on the support-side substrate exposed by removing the buried oxide film.
    Type: Grant
    Filed: October 11, 2007
    Date of Patent: March 31, 2009
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hajime Nagano, Shinichi Nitta, Takashi Yamada, Tsutomu Sato, Katsujiro Tanzawa, Ichiro Mizushima
  • Patent number: 7507638
    Abstract: In accordance with a specific embodiment, a method of processing a semiconductor substrate is disclosed whereby the substrate is thinned, and the dice formed on the substrate are singulated by a common process. Trench regions are formed on a backside of the substrate. An isotropic etch of the backside results in a thinning of the substrate while maintaining the depth of the trenches, thereby facilitating singulation of the die.
    Type: Grant
    Filed: June 30, 2004
    Date of Patent: March 24, 2009
    Assignee: Freescale Semiconductor, Inc.
    Inventors: David P. Mancini, Young Sir Chung, William J. Dauksher, Donald F. Weston, Steven R. Young, Robert W. Baird
  • Patent number: 7504277
    Abstract: The present invention concerns, in part, a method for fabricating a silicon PIN detector component wherein three handle wafers are bonded to the wafer at varying points in the fabrication process. The utilization of three handle wafers during fabrication significantly ease handling concerns associated with what would otherwise be a relatively thin and fragile wafer, providing a stable and strong base for supporting those portions of the wafer that will constitute the PIN detector component. In a variant of the present invention, the third handle wafer comprises an optical element transparent in the wavelength of interest.
    Type: Grant
    Filed: October 12, 2005
    Date of Patent: March 17, 2009
    Assignee: Raytheon Company
    Inventors: Christopher L. Fletcher, Andrew G. Toth
  • Patent number: 7501303
    Abstract: A silicon wafer having a distributed Bragg reflector buried within it. The buried reflector provides a high efficiency, readily and accurately manufactured reflector with a body of silicon. A photodetector using the buried layer to form a resonant cavity enhancement of the silicon's basic quantum efficiencies and selectivity is provided. The DBR is created by bonding of two or more substrates together at a silicon oxide interface or an oxide-oxide interface. In the former, an hydrogen implant is used to cleave silicon just above the bond line. In the latter, the bonding is at the oxide layers.
    Type: Grant
    Filed: March 1, 2004
    Date of Patent: March 10, 2009
    Assignee: The Trustees of Boston University
    Inventors: M. Selim Unlu, Matthew K. Emsley
  • Patent number: 7498076
    Abstract: A method for manufacturing a porous dielectric substrate including patterned electrodes includes a patterned electrode-forming step of preparing a support plate having a releasable flat face and then forming the patterned electrodes on the flat face, a porous dielectric substrate-forming step of feeding a material for forming the porous dielectric substrate onto the flat face having the patterned electrodes arranged thereon to form the porous dielectric substrate in which the patterned electrodes are embedded, and a separation step of separating the support plate from the porous dielectric substrate having the patterned electrodes embedded therein. In the patterned electrode-forming step, the patterned electrodes formed on the flat face are processed to have rough surfaces in the patterned electrode-forming step. Alternatively, after the flat face is coated with a releasing agent, the patterned electrodes are formed on the resulting flat face.
    Type: Grant
    Filed: November 23, 2005
    Date of Patent: March 3, 2009
    Assignee: Kabushiki Kaisha Kobe Seiko Sho
    Inventors: Masakatsu Maruyama, Yoshito Fukumoto, Chitaka Manabe
  • Patent number: 7485562
    Abstract: The present invention defines a packaging implementation providing a multichip multilayer system on a chip solution. Greater integration of a plurality and variety of known good die contained within cavities formed in a separate substrate is achieved. Additional redistribution and interconnect layers above the multichip configuration may be formed with the redistribution layers terminating in electrical connections such as conductive bumps or balls. In one embodiment, the substrate cavities receive signal device connections, such as conductive bumps, of a plurality of semiconductor dice in a flip-chip configuration. A portion of the substrate's back surface is then removed to a depth sufficient to expose the conductive bumps. In another embodiment, the cavities receive the semiconductor dice with their active surface facing up, wherein metal layer connections are formed and coupled to bond pads or other electrical connectors of the semiconductor dice.
    Type: Grant
    Filed: January 3, 2005
    Date of Patent: February 3, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Swee Kwang Chua, Siu Waf Low, Yong Poo Chia, Meow Koon Eng, Yong Loo Neo, Suan Jeung Boon, Suangwu Huang, Wei Zhou
  • Patent number: 7473617
    Abstract: This invention moderates the difficulty in chip formation or packaging which accompanies thinning of a semiconductor region where an integrated circuit is formed. An integrated circuit chip manufacturing method includes a first bonding step of bonding a first support member to a first surface of a semiconductor substrate which has the first surface and a second surface and has a semiconductor region including an integrated circuit on a first surface side thereof, a thinning step of removing a second surface-side portion of the semiconductor substrate bonded to the first support member to leave the semiconductor region, thereby thinning the semiconductor substrate, a second bonding step of bonding a second support member to the second surface side of the thinned semiconductor substrate, and a chip forming step of forming chips by cutting the semiconductor region.
    Type: Grant
    Filed: June 10, 2005
    Date of Patent: January 6, 2009
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kazutaka Momoi, Nobuhiko Sato
  • Patent number: 7465977
    Abstract: There is described a method for producing a packaged integrated circuit. The method comprises a first step of building an integrated circuit having a micro-structure suspended above a micro-cavity, and having a heating element on the micro-structure capable of heating itself and its immediate surroundings. A layer of protective material is then deposited on said micro-structure such that at least a top surface of the micro-structure and an opening of the micro-cavity is covered, wherein the protective material is in a solid state at room temperature and can protect the micro-structure during silicon wafer dicing procedures and subsequent packaging. The integrated circuit is packaged and an electric current is passed through the heating element such that a portion of the protective material is removed and an unobstructed volume is provided above and below the micro-structure.
    Type: Grant
    Filed: October 27, 2003
    Date of Patent: December 16, 2008
    Assignee: Microbridge Technologies Inc.
    Inventors: Leslie M. Landsberger, Oleg Grudin
  • Patent number: 7435608
    Abstract: A III-V group nitride system semiconductor self-standing substrate is made of III-V group nitride system semiconductor single crystal with a hexagonal crystal system crystalline structure. The substrate is provided with a surface that is off-oriented 0.09 degrees or more and 24 degrees or less in the a-axis or m-axis direction from C-face of the substrate.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: October 14, 2008
    Assignee: Hitachi Cable, Ltd.
    Inventor: Masatomo Shibata
  • Patent number: 7410841
    Abstract: A method (10) of forming fully-depleted silicon-on-insulator (FD-SOI) transistors (150) and partially-depleted silicon-on-insulator (FD-SOI) transistors (152) on a semiconductor substrate (104) as part of an integrated circuit fabrication process is disclosed.
    Type: Grant
    Filed: March 28, 2005
    Date of Patent: August 12, 2008
    Assignee: Texas Instruments Incorporated
    Inventor: Howard Lee Tigelaar
  • Patent number: 7410840
    Abstract: A method (10) of forming fully-depleted silicon-on-insulator (FD-SOI) transistors (150) and bulk transistors (152) on a semiconductor substrate (104) as part of an integrated circuit fabrication process is disclosed.
    Type: Grant
    Filed: March 28, 2005
    Date of Patent: August 12, 2008
    Assignee: Texas Instruments Incorporated
    Inventor: Howard Lee Tigelaar
  • Patent number: 7410813
    Abstract: In a lapping process for lapping away layers from a semiconductor device, where the region of interest is located near an edge or corner of the device, the method includes adding additional semiconductor material adjacent the region of interest.
    Type: Grant
    Filed: September 23, 2004
    Date of Patent: August 12, 2008
    Assignee: National Semiconductor Corporation
    Inventor: Gengying Gao
  • Patent number: 7387912
    Abstract: A circuit assembly for fabricating an air bridge structure and a method of fabricating an integrated circuit package capable of supporting a circuit assembly including an air bridge structure. A circuit assembly comprises an electronic chip and a conductive structure embedded in a plurality of materials having a plurality of vaporization temperatures. The plurality of materials is formed on the electronic chip and the conductive structure is coupled to the electronic chip. To fabricate the circuit assembly, a support structure, including interstices, is formed on an electronic chip. The interstices of the support structure are filled with a material having a vaporization temperature that is less than the vaporization temperature of the support structure. Conductive structures are embedded in the support structure and the material, and a connective structure is mounted on the support structure. Finally, the material is removed from the interstices by heating the circuit assembly.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: June 17, 2008
    Assignee: Micron Technology, Inc.
    Inventor: Paul A. Farrar
  • Patent number: 7368310
    Abstract: In a method of making a semiconductor light generating device, a GaN-based semiconductor portion is formed on a GaN or AlGaN substrate. The GaN-based semiconductor portion includes a light generating film. An electrode film is formed on the GaN-based semiconductor film. A conductive substrate is bonded to a surface of the electrode film using a conductive adhesive. After bonding the conductive substrate, the GaN or AlGaN substrate is separated from the GaN-based semiconductor portion to form the semiconductor light generating device.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: May 6, 2008
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Katsushi Akita
  • Patent number: 7361519
    Abstract: A method of manufacturing a semiconductor device, comprises the steps of: forming a first insulating film on a first substrate; forming a second insulating film on the first insulating film; forming an amorphous silicon film on the second insulating film; holding a metal element that promotes the crystallization of silicon in contact with a surface of the amorphous silicon film; crystallizing the amorphous silicon film through a heat treatment to obtain a crystalline silicon film; forming a thin-film transistor using the crystalline silicon film; forming a sealing layer that seals the thin-film transistor; bonding a second substrate having a translucent property to the sealing layer; and removing the first insulating film to peel off the first substrate.
    Type: Grant
    Filed: March 21, 2005
    Date of Patent: April 22, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Yasuyuki Arai, Satoshi Teramoto
  • Patent number: 7358593
    Abstract: A grid structure and method for manufacturing the same. The grid is used for gating a stream of charged particles in certain types of particle measurement instruments, such as ion mobility spectrometers and the like. The methods include various microfabrication techniques for etching and/or depositing grid structure materials on a silicon substrate.
    Type: Grant
    Filed: May 6, 2005
    Date of Patent: April 15, 2008
    Assignees: University of Maine, Stillwater Scientific Instruments
    Inventors: Rosemary Smith, Scott Collins, Brian G. Frederick, Lawrence J. LeGore
  • Patent number: 7354798
    Abstract: A method is described for fabricating a three-dimensional integrated device including a plurality of vertically stacked and interconnected wafers. Wafers (1, 2, 3) are bonded together using bonding layers (26, 36) of thermoplastic material such as polyimide; electrical connections are realized by vias (12, 22) in the wafers connected to studs (27, 37). The studs connect to openings (13, 23) having a lateral dimension larger than that of the vias at the front surfaces of the wafers. Furthermore, the vias in the respective wafers need not extend vertically from the front surface to the back surface of the wafers. A conducting body (102) provided in the wafer beneath the device region and extending laterally, may connect the via with the matallized opening (103) in the back surface. Accordingly, the conducting path through the wafer may be led underneath the devices thereof. Additional connections may be made between openings (113) and studs (127) to form vertical heat conduction pathways between the wafers.
    Type: Grant
    Filed: December 20, 2002
    Date of Patent: April 8, 2008
    Assignee: International Business Machines Corporation
    Inventors: H. Bernhard Pogge, Roy Yu
  • Patent number: 7351300
    Abstract: There is provided a peeling method capable of preventing a damage to a layer to be peeled. Thus, not only a layer to be peeled having a small area but also a layer to be peeled having a large area can be peeled over the entire surface at a high yield. Processing for partially reducing contact property between a first material layer (11) and a second material layer (12) (laser light irradiation, pressure application, or the like) is performed before peeling, and then peeling is conducted by physical means. Therefore, sufficient separation can be easily conducted in an inner portion of the second material layer (12) or an interface thereof.
    Type: Grant
    Filed: August 14, 2002
    Date of Patent: April 1, 2008
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Toru Takayama, Junya Maruyama, Shunpei Yamazaki
  • Patent number: 7348261
    Abstract: A chip module having a chip with a flexible multilayer redistribution thin film attached thereto for connection to a substrate. The thin film acts as both a redistribution medium with multiple layers of redistribution metallurgy for chip power and signals and as a compliant medium to relieve stresses caused by thermal expansion mismatch between chip and substrate. Modules comprising chip and thin film may be fabricated at the chip or wafer level. The upper surface of the thin film has an array of pads matching the array of pads on the chip or wafer while the lower surface has pads matching those of the substrate. The multilayer thin film is first formed on a temporary substrate and then the chip is attached to the thin film before release from the temporary substrate. After release, the module is ready for mounting to the second level packaging substrate, such as a chip carrier or PCB. Where the multilayer thin film is formed directly on a wafer, the wafer is then diced to form the module.
    Type: Grant
    Filed: May 15, 2003
    Date of Patent: March 25, 2008
    Assignee: International Business Machines Corporation
    Inventors: David Vincent Caletka, Seungbae Park, Sanjeev Balwant Sathe
  • Patent number: 7344936
    Abstract: A semiconductor wafer is provided with a wiring structure, and semiconductor chip positions arranged in rows and columns. The semiconductor wafer has at least one coating (6) as a self-supporting dimensionally stable substrate layer (4), and/or as a wiring structure composed of conductive, high-temperature-resistant material. The coating material (6) of the substrate layer (4) and/or of the wiring structure has a ternary carbide and/or a ternary nitride and/or carbon.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: March 18, 2008
    Assignee: Infineon Technologies AG
    Inventors: Hans-Joachim Schulze, Helmut Strack
  • Patent number: 7335575
    Abstract: A method of fabricating a semiconductor device includes etching a substrate to form a recess, the substrate being formed on a backside of a semiconductor wafer, forming pores in the substrate in an area of the recess, and forming in the recess a material having a thermal conductivity which is greater than a thermal conductivity of the substrate. In another aspect, a method of fabricating a semiconductor device includes etching a substrate formed on a backside of a semiconductor wafer to form a recess in the substrate, and forming a sputter film in the recess, the sputter film including a first material having a coefficient of thermal expansion (CTE) which is at least substantially equal to a CTE of the substrate, and a second material having a thermal conductivity which is greater than a thermal conductivity of the substrate.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: February 26, 2008
    Assignee: International Business Machines Corporation
    Inventors: Louis L. C. Hsu, Rajiv V. Joshi, Jack Allan Mandelman
  • Patent number: 7335578
    Abstract: A semiconductor wafer (W) where circuits are formed in the area divided by streets is split into semiconductor chips having individual circuits. By interposing an adhesive sheet, whose adhesive force is lowered by stimulation, between the semiconductor wafer (W) and the support plate (13), the front side of the semiconductor wafer (W) is adhered to the support plate (13), thereby exposing the rear face (10) of the semiconductor wafer (W). The rear face (10) of the semiconductor wafer (W) with the support plate (13) is ground. After the grinding is finished, the semiconductor wafer (W) held with the rear face (10) up is diced into semiconductor chips (C). The adhesive sheet is given stimulus to lower the adhesive force and the semiconductor chips (C) are removed from the support plate (13). The semiconductor wafer and semiconductor chips are always supported by the support plate, avoiding damage and deformation.
    Type: Grant
    Filed: April 9, 2003
    Date of Patent: February 26, 2008
    Assignees: Sekisui Chemical Co., Ltd., Disco Corporation
    Inventors: Masateru Fukuoka, Munehiro Hatai, Satoshi Hayashi, Yasuhiko Oyama, Shigeru Danjo, Masahiko Kitamura, Koichi Yajima
  • Patent number: 7332413
    Abstract: Methods of forming semiconductor devices include thinning a region of a semiconductor wafer and forming at least one semiconductor die laterally within a thinned region of the wafer. One or more reinforcement structures may be defined on the wafer. Semiconductor wafers include one or more reinforcement structures that extend laterally along the wafer and project from at least one surface of the wafer. The wafers further include a plurality of at least partially formed semiconductor dice laterally within at least one region having a thickness that is less than a thickness of the reinforcement structures. The wafers may include a plurality of at least partially formed semiconductor dice laterally within each of a plurality of thin regions defined between a plurality of reinforcement structures. The thin regions may have an average thickness less than an average thickness of the reinforcement structures.
    Type: Grant
    Filed: September 5, 2006
    Date of Patent: February 19, 2008
    Assignee: Micron Tecnology, Inc.
    Inventor: Kyle K. Kirby
  • Patent number: 7314767
    Abstract: A method is provided for preparing a semiconductor wafer for testing. The method includes selecting a die to be tested; measuring a diagonal of the die; thinning an area over the die extending beyond the scribe lines, the thinned area may be a circular area having a diameter that is larger than the measured diagonal; providing an insert inside the thinned area; and providing an adhesive on the peripheral area of the insert so as not to obscure the optical path to the die. The insert is advantageously made of an undoped silicon.
    Type: Grant
    Filed: May 27, 2005
    Date of Patent: January 1, 2008
    Assignee: Credence Systems Corporation
    Inventor: Richard A. Portune
  • Patent number: 7309620
    Abstract: The invention relates to methods for preparing a removable system on a mother substrate. The method deposits a high surface to volume sacrificial layer on a mother substrate and stabilizes the sacrificial layer by a) removing volatile chemical species in and on the sacrificial layer and/or b) modifying the surface of the layer. The method coats over the sacrificial layer with a capping medium. A system is the fabricated on the capping medium. The method provides through holes to access the sacrificial layer. The method may also apply a top layer onto the system to form a covered system. The invention also includes the step of removing the sacrificial layer to release the system from the mother substrate. Methods of the invention also include selectively removing a portion of the system and capping layers to form void regions defining an array of islands composed of device, structure, or system and capping layer regions, and optionally filling the island-defining void region with a sacrificial material.
    Type: Grant
    Filed: January 13, 2003
    Date of Patent: December 18, 2007
    Assignee: The Penn State Research Foundation
    Inventors: Stephen J. Fonash, Handong Li, Youngchul Lee, Joseph D. Cuiffi, Daniel J. Hayes
  • Patent number: 7300823
    Abstract: Apparatus for housing a micromechanical structure, and a method for producing the housing. The apparatus has a substrate having a main side on which the micromechanical structure is formed, a photo-resist material structure surrounding the micromechanical structure to form a cavity together with the substrate between the substrate and the photo-resist material structure, wherein the cavity separates the micromechanical structure and the photo-resist material structure and has an opening, and a closure for closing the opening to close the cavity.
    Type: Grant
    Filed: November 17, 2004
    Date of Patent: November 27, 2007
    Assignee: Infineon Technologies AG
    Inventors: Martin Franosch, Andreas Meckes, Winfried Nessler, Klaus-Gunter Oppermann
  • Patent number: 7288471
    Abstract: A method for forming solder bumps on an electronic component. Providing a transfer substrate having a plurality of solder balls, disposing the transfer substrate on the surface of the electronic component, heating to reflow the solder balls onto the electronic component; and removing the sacrificial substrate. The transfer substrate may comprise a sacrificial film and a metal layer patterned with a mask which is used to form solder balls on the transfer substrate. Or, the transfer substrate may comprise a sheet of silicone rubber having solder balls embedded at least partially in the sheet. A method of aligning a part being bumped with a transfer substrate, using a shuttle mechanism and an alignment film is disclosed.
    Type: Grant
    Filed: November 15, 2004
    Date of Patent: October 30, 2007
    Inventor: John Mackay
  • Patent number: 7279751
    Abstract: It is an object of the present invention to provide a semiconductor laser device with high-yielding in which a clack generated in an epitaxial growth layer is restrained and to the manufacturing method thereof, the semiconductor laser device includes a GaN substrate 1, an n-type GaN layer 2, an n-type AlGaN cladding layer 3, a n-type GaN guide layer 4, an InGaN multiple quantum well active layer 5, an undoped-GaN guide layer 6, a p-type AlGaN electron overflow suppression layer 7, a p-type GaN guide layer 8, a SiO2 blocking layer 9, an Ni/ITO cladding layer electrode 10 as a transparent electrode, a Ti/Au pad electrode 11, and a Ti/Al/Ni/Au electrode 12. The SiO2 blocking layer 9 is formed above the InGaN multiple quantum well active layer 5 so as to have an opening. The Ni/ITO cladding layer electrode 10 is formed inside the opening, and which is transparent for the light from the InGaN multiple quantum well active layer, and serves as a cladding layer.
    Type: Grant
    Filed: April 20, 2005
    Date of Patent: October 9, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tetsuzo Ueda, Masaaki Yuri
  • Patent number: 7279400
    Abstract: A method of fabricating a silicon-on-plastic layer via layer transfer includes depositing a layer of SiGe on a silicon substrate; depositing a layer of silicon; implanting splitting hydrogen ions into the silicon substrate; bonding a glass substrate to the silicon layer; splitting the wafer; removing the silicon layer and a portion of the SiGe layer; depositing a dielectric on the silicon side of the silicon-on-glass wafer; applying adhesive and bonding a plastic substrate to the silicon side of the silicon-on-glass wafer; removing the glass from the glass side of the bonded, silicon-on-glass wafer to form a silicon-on-plastic wafer; and completing a desired IC device on the silicon-on-plastic. Multi-level structure may be fabricated according to the method of the invention by repeating the last few steps of the method of the invention.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: October 9, 2007
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Jer-Shen Maa, Jong-Jan Lee, Douglas J. Tweet, Sheng Teng Hsu
  • Patent number: 7279402
    Abstract: A method of fabricating a semiconductor device includes the steps of, after sawing a semiconductor substrate into individual semiconductor chips in a state that the semiconductor substrate is covered by an adhesive tape, applying a dry gas to the adhesive tape in a state that the adhesive tape carries thereon the semiconductor chips, applying an infrared radiation to the adhesive tape in a state that the adhesive tape carries thereon the semiconductor chips, and curing the adhesive layer on the adhesive tape in a state that the adhesive tape carries thereon the semiconductor chips, by irradiating a ultraviolet radiation to the adhesive tape, wherein the step of applying the dry gas, the step of applying the infrared radiation and the said step of curing the adhesive layer are conducted substantially simultaneously.
    Type: Grant
    Filed: October 20, 2005
    Date of Patent: October 9, 2007
    Assignee: Fujitsu Limited
    Inventor: Yutaka Yamada
  • Patent number: 7265030
    Abstract: A method of fabricating a silicon-on-glass layer via layer transfer includes depositing a layer of SiGe on a silicon substrate; relaxing the SiGe layer; depositing a layer of silicon on the relaxed SiGe layer; implanting hydrogen ions in a second hydrogen implantation step to facilitate splitting of the wafer; bonding a glass substrate to the strained silicon layer to form a composite wafer; splitting the composite wafer to provide a split wafer; and processing the split wafer to prepare it for subsequent device fabrication.
    Type: Grant
    Filed: July 20, 2004
    Date of Patent: September 4, 2007
    Assignee: Sharp Laboratories of America, Inc.
    Inventors: Jer-Shen Maa, Jong-Jan Lee, Douglas J. Tweet, Sheng Teng Hsu
  • Patent number: 7253083
    Abstract: First and second semiconductor wafers are bonded together, with at least one of the wafers having a first layer of silicon, an intermediate oxide layer and a second layer of silicon. The first silicon layer is initially mechanically reduced by around 80% to 90% of its thickness. The remaining silicon layer is further reduced by a plasma etch which may leave an uneven thickness. With appropriate masking the uneven thickness is made even by a second plasma etch. Remaining silicon is removed by a dry etch with XeF2 or BrF3 to expose the intermediate oxide layer. Prior to bonding, the semiconductor wafers may be provided with various semiconductor devices to which electrical connections are made through conducting vias formed through the exposed intermediate oxide layer.
    Type: Grant
    Filed: June 17, 2005
    Date of Patent: August 7, 2007
    Assignee: Northrop Grumman Corporation
    Inventors: Rowland C. Clarke, Erica C. Elvey, Silai V. Krishnaswamy, Jeffrey D. Hartman